1
1
Fork 0
mirror of https://github.com/QB64-Phoenix-Edition/QB64pe.git synced 2024-07-06 01:10:23 +00:00

Adds TIMER ON control over the debuggee.

This commit is contained in:
FellippeHeitor 2021-07-15 01:13:50 -03:00
parent ea7c90c6c0
commit af454940e4
2 changed files with 20 additions and 0 deletions

View file

@ -1782,6 +1782,15 @@ ontimer_struct *ontimer=(ontimer_struct*)malloc(sizeof(ontimer_struct));
int32 ontimerthread_lock=0; int32 ontimerthread_lock=0;
void stop_timers() {
ontimerthread_lock = 1;
while (ontimerthread_lock != 2);
}
void start_timers() {
ontimerthread_lock = 0;
}
int32 func__freetimer(){ int32 func__freetimer(){
if (new_error) return 0; if (new_error) return 0;
static int32 i; static int32 i;

View file

@ -7,6 +7,11 @@ SUB vwatch (linenumber AS LONG)
DIM AS LONG i DIM AS LONG i
DIM start!, temp$, cmd$, value$, k& DIM start!, temp$, cmd$, value$, k&
DECLARE LIBRARY
SUB vwatch_stoptimers ALIAS stop_timers
SUB vwatch_starttimers ALIAS start_timers
END DECLARE
IF bypass THEN EXIT SUB IF bypass THEN EXIT SUB
IF ide = 0 THEN IF ide = 0 THEN
@ -73,6 +78,7 @@ SUB vwatch (linenumber AS LONG)
EXIT SUB EXIT SUB
END IF END IF
vwatch_stoptimers
cmd$ = "line number:" cmd$ = "line number:"
IF vwatch_breakpoints(linenumber) THEN cmd$ = "breakpoint:" IF vwatch_breakpoints(linenumber) THEN cmd$ = "breakpoint:"
cmd$ = cmd$ + MKL$(linenumber) cmd$ = cmd$ + MKL$(linenumber)
@ -83,20 +89,24 @@ SUB vwatch (linenumber AS LONG)
CASE "run" CASE "run"
pauseMode = 0 pauseMode = 0
stepOver = 0 stepOver = 0
vwatch_starttimers
EXIT SUB EXIT SUB
CASE "step" CASE "step"
pauseMode = -1 pauseMode = -1
stepOver = 0 stepOver = 0
vwatch_starttimers
EXIT SUB EXIT SUB
CASE "step over" CASE "step over"
pauseMode = -1 pauseMode = -1
stepOver = -1 stepOver = -1
startLevel = vwatch_sublevel startLevel = vwatch_sublevel
vwatch_starttimers
EXIT SUB EXIT SUB
CASE "free" CASE "free"
CLOSE #ide CLOSE #ide
ide = 0 ide = 0
bypass = -1 bypass = -1
vwatch_starttimers
EXIT SUB EXIT SUB
CASE "set breakpoint" CASE "set breakpoint"
vwatch_breakpoints(CVL(value$)) = -1 vwatch_breakpoints(CVL(value$)) = -1
@ -108,6 +118,7 @@ SUB vwatch (linenumber AS LONG)
_LIMIT 100 _LIMIT 100
LOOP LOOP
vwatch_starttimers
EXIT SUB EXIT SUB
Connect: Connect:
start! = TIMER start! = TIMER